Verilog Code for Interfacing DHT11 with FPGA

(1 customer review)

$10.00

  1. This code interfaces DHT11 with FPGA
  2. DHT22 can be interfaced in similar way
  3. The code is written in Verilog only.
  4. The code is tested with Artix7a35t fpga board.

DHT11 is a very popular sensor for measuring temperature and humidity in IoT applications. This sensor is very useful when used with Arduino or ESP8266 controllers to make a weather station. There are numerous tutorials are available on the internet that demonstrates how to interface DHT11 with Arduino or ESP8266.

DHT11 sensor can be used with FPGA also to make some beautiful projects on weather station or make interlock-based projects. This product is about a Verilog code which can be used to directly interface DHT11 with FPGA. This code is written by following the DHT11 datasheet. This code is verified on ARTIX7A35T FPGA board which has 50 MHz onboard clock oscillator.

1 review for Verilog Code for Interfacing DHT11 with FPGA

  1. Souvik

    This has helped me do my project. Thank you sir.

Add a review

Your email address will not be published. Required fields are marked *

Shopping Basket